2017年4月8日

四位流水灯Led_4

摘要: 流水灯实验是我们学习开发板或语言的入门程序,通过流水灯这个可视化的实验,会增加我们对学习语言的乐趣, 会让我们觉得其实语言也是可以玩出花样的,接下来呈上代码,大家可以下到自己的板子里试试,。 测试程序: 根据波形图可以看出,每个周期内只有一位是1,当采用共阴极LED灯时,就会只有一个灯亮,然后循环点 阅读全文

posted @ 2017-04-08 21:39 飞翔的梦的窝 阅读(525) 评论(0) 推荐(0) 编辑

设计四位移位寄存器shifter_4

摘要: 四位移位寄存器:由四个D触发器组成,当每来一个时钟上升沿时,四个触发器分别执行一次移位 要求 每个周期通过数据输入端din送入一比特数据每个周期通过数据输出端dout串行移出一比特数据 异步复位后四位寄存器的值为4’b0101, LSb优先移出 感觉移位寄存器也没什么太难的地方,自己唯一的收获 阅读全文

posted @ 2017-04-08 21:26 飞翔的梦的窝 阅读(2631) 评论(0) 推荐(0) 编辑

verilog设计十进制计数器(含进位位)

摘要: 十进制计数器: 设计要求: 1、每当计数器值为4’b001时,自动回到4’b0000 2、每个时钟沿计数器值加1 3、进位输出carry应该与4'b1001同周期输出 4、异步复位 module cnt10 ( clk, rst_n, carry, cnt ); input clk, rst_n; 阅读全文

posted @ 2017-04-08 21:08 飞翔的梦的窝 阅读(7811) 评论(0) 推荐(1) 编辑

导航