摘要: http://www.cnblogs.com/tshell/p/3236476.html2001年3月IEEE正式批准了Verilog‐2001标准(IEEE1364‐2001),与Verilog‐1995相比主要有以下提高。1、模块声明的扩展(1)Verilog‐2001允许将端口声明和数据类型声... 阅读全文
posted @ 2015-04-08 16:02 hfyfpga 阅读(1007) 评论(0) 推荐(0) 编辑