摘要: 自动化仿真平台由tcl语言搭建,大规模设计使用此平台让仿真便捷不少。大体上用tcl语言进行modelsim仿真的流程如下: 1. 建立库 2. 映射库到物理目录 3. 编译源代码 4. 启动仿真器 5. 执行仿真 tcl语言的语法 vlib :建立库。格式 vlib 。库名缺省值是work ... 阅读全文
posted @ 2015-02-06 19:42 hfyfpga 阅读(1284) 评论(1) 推荐(0) 编辑
摘要: 1、设计中的FIFO、状态机接口需要有异常恢复状态和状态上报机制,格雷码电路防止被综合电路优化掉。a)自行设计的格雷码FIFO(一般用于连续数据流跨时钟域)用Synplify综合时,为了防止被优化需要添加综合引导语句:“synthesis_syn_preserve = 1”;b)各种综合工具均有状态... 阅读全文
posted @ 2015-02-06 19:18 hfyfpga 阅读(2255) 评论(0) 推荐(1) 编辑
摘要: 这里参考特权同学的模块化思想,将常用的print等任务模块化,便于直接调用,提高代码的效率和易读性。现转载之,以供日后的学习和工作参考。print模块参考代码如下://=================================////useful print_task show//inclu... 阅读全文
posted @ 2015-02-06 19:12 hfyfpga 阅读(193) 评论(0) 推荐(0) 编辑
摘要: 下面是我们的Tcl仿真步骤:启动ModelSim SE, 首先看到在在ModelSim SE右边的窗口有ModelSim> 这样的提示符。在提示符后,顺序运行以下命令: vlib work 该命令的作用是在该目录下建立一个work目录,请注意不要用操作系统来新建一个work的文件夹,因为用操作系统建... 阅读全文
posted @ 2015-02-06 19:09 hfyfpga 阅读(4384) 评论(0) 推荐(0) 编辑
摘要: 首先声明:该文章是在刘志伟老师的《Modelsim的Tcl命令》的基础上写的,希望我们能越来越自动化。1.编写好源文件。包含asyn_fifo.v、fifomem.v、rptr_empty.v、wptr_full.v、sync_r2w.v、sync_w2r.v和Testbench文件fifo_asy... 阅读全文
posted @ 2015-02-06 19:07 hfyfpga 阅读(1758) 评论(0) 推荐(0) 编辑