2011年7月31日

按键控制led灯

摘要: //通过按键控制对应的led灯亮灭,按一下亮,再按一下灭,消抖时间20msmodule key_led(input clk , rst_n,input [2:0]key ,output led0,led1,led2);reg [2:0] key_rst;always @(posedge clk or negedge rst_n)beginif (!rst_n)key_rst <= 3'b111 ;else key_rst <= key ;endreg [2:0] key_rst_r ;always @(posedge clk or negedge rst_n)beginif 阅读全文

posted @ 2011-07-31 15:33 qmn91 阅读(344) 评论(3) 推荐(0) 编辑

quartus II 所遇到的问题积累

摘要: 2011.7.31仿真波形时未添加文件错误。Assignments ——>Settings——>Simulator Settings——>Simulation Input 添加文件 阅读全文

posted @ 2011-07-31 13:05 qmn91 阅读(162) 评论(0) 推荐(0) 编辑

导航