vivado中使用ROM IP核

1.在project中选择IP Catalog

在IP Catalog中选择---->Block Memory Generator------>RAMs&ROMs&BRAM-------->Block Memory Generator

2.basic 选择Single Port ROM

3.设置PORT A的宽度和深度

4.Load Init File 点击OK

 

posted @ 2018-10-29 18:27  黄小鱼  阅读(4902)  评论(0编辑  收藏  举报