vivado中使用MMCM ip核

1.在project中选择IP Catalog

在IP Catalog中选择FPGA Features and Design----->Clocking------>Clocking Wizard

2.在primitive选择MMCM,混合时钟管理单元。

Component Name 名字

配置Clocking Features和Jitter Optimization

Input clock这里选择125MHz

 

 

3.output Clocks

配置输出时钟信息以及复位锁定等引脚

4.MMCM Settings

5.点击OK 完成

 

posted @ 2018-10-29 17:22  黄小鱼  阅读(4651)  评论(0编辑  收藏  举报