hclmcu

  博客园 :: 首页 :: 博问 :: 闪存 :: 新随笔 :: 联系 :: 订阅 订阅 :: 管理 ::

2010年8月15日

摘要: 相当全的电子类网站 阅读全文
posted @ 2010-08-15 09:28 hclmcu 阅读(968) 评论(0) 推荐(1) 编辑

摘要: 用Verilog写的按键消抖 由此模块作为按键消抖模块时,当按键一直按下时,key_out的值一直为低,所以当使用时,可以用 (negedge key_out)来实现即使按皱键一直按着,操作(此处指led或说是count)只进行一次。(如需转载请注明出处) 阅读全文
posted @ 2010-08-15 09:24 hclmcu 阅读(1114) 评论(0) 推荐(1) 编辑