2020年11月3日

实验8 #第8章 Verilog有限状态机设计-3 #Verilog #Quartus #modelsim

摘要: 3. 状态机A/D采样控制电路 3.1 目标:用状态机控制ADC0809实现数据采集。 3.2 ADC0809简介 (1)ADC0809是8位A/D转换器,片内有8路模拟开关,可控制8个 模拟量中 的1个进入转换器中。完成一次转换的时间约100us。含锁存控制的8个 多路开关,输出有三态缓冲器控制, 阅读全文

posted @ 2020-11-03 14:35 yf.x 阅读(1023) 评论(0) 推荐(0) 编辑

导航