2020年10月16日

实验8 #第8章 Verilog有限状态机设计-1 #Verilog #Quartus #modelsim

摘要: 8-1 流水灯控制器 1. 实验要求:采用有限状态机设计彩灯控制器,控制LED灯实现预想的演示花型。 2. 实验内容: (1)功能:设计彩灯控制器,要求控制18个LED灯实现如下的演示花型: 从两边往中间逐个亮,全灭; 从中间往两头逐个亮,全灭; 循环执行上述过程; 2.1 流水灯控制器用两个alw 阅读全文

posted @ 2020-10-16 16:29 yf.x 阅读(1880) 评论(0) 推荐(0) 编辑

导航