2011年4月22日

【原创】DE2实验解答—lab8 (Quartus) (Digital Logic) (Verilog HDL)

摘要: Introduction 本练习主要研究FPGA片内/外存储器。实现32X8-bit的RAM。 DesignPart I 用LPM实现RAM LPM的用法参阅<Using Library Module in Verilog Design>。 1. Tools / MegaWizard Plug-in Manger /…/ memory compiles创建一个名为ramlpm.v的RAM。 2. 编译,并查看编译报告。RAM占用1个M4K块,256B。 3. 仿真。 仿真结果: 代码part 1: 1 //part 1 用altsyncram LPM构建一个32*8bit RAM 阅读全文

posted @ 2011-04-22 21:58 yf.x 阅读(4216) 评论(3) 推荐(0) 编辑

导航