2011年3月9日

【翻译】ModelSim指南 VI (ModelSim)(Verilog)(Digital Logic)

摘要: 8自动仿真简介前面的课程主要讲使用ModelSim的交互模式:通过图形界面或主窗口的命令行一条条的执行单一的命令。当需要完成重复的任务时,可用DO文件提高效率。 DO文件是一次执行多条命令的脚本。这个脚本可以像带有相关参数的一系列ModelSim命令一样简单,或者是带有变量,执行条件等等的Tcl程序。可在GUI里或系统命令提示符后执行Do文件。 注意: 本课假设你已经添加<install_dir>/modeltech/<platform>到你的环境变量的PATH。否则,要指定工具(如,vlib,vmap, vlog,vcom,和vsim)的绝对路径。 扩展阅读用户手册: 阅读全文

posted @ 2011-03-09 16:20 yf.x 阅读(2434) 评论(0) 推荐(0) 编辑

导航