摘要: Verilog 数值处理,在处理除法的时候,需要注意位宽。 实例: quotient=a/b; reside=a%b; module si_div(input signed [9:0] a,input signed [7:0] b,output signed[9:0] quotient,output 阅读全文
posted @ 2023-11-01 22:59 古月照今尘 阅读(180) 评论(0) 推荐(0) 编辑