摘要: 参考博文:https://jingyan.baidu.com/article/fedf0737eb658c35ac897728.html 以下命令将文中所有的字符串idiots替换成managers :1,$s/idiots/manages/g通常我们会在命令中使用%指代整个文件做为替换范围::%s 阅读全文
posted @ 2019-02-12 09:43 大海在倾听 阅读(3939) 评论(0) 推荐(0) 编辑
摘要: 参考博文:https://www.cnblogs.com/-9-8/p/4414689.html和http://www.asic-world.com/systemverilog/coverage8.html#Default_bins_creation SV采用CRT的激励形式,而判断验证进度的标准也 阅读全文
posted @ 2019-02-11 15:03 大海在倾听 阅读(4671) 评论(0) 推荐(1) 编辑
摘要: 参考博文:https://www.cnblogs.com/suyang/archive/2008/05/18/1201990.html 从程序员的角度来看, Shell本身是一种用C语言编写的程序,从用户的角度来看,Shell是用户与Linux操作系统沟通的桥梁。用户既可以输入命令执行,又可以利用 阅读全文
posted @ 2019-02-11 12:00 大海在倾听 阅读(172) 评论(0) 推荐(0) 编辑
摘要: 参考博文: https://www.cnblogs.com/chengd/p/7803664.html 命令替换 在bash中,$( )与` `(反引号)都是用来作命令替换的。命令替换与变量替换差不多,都是用来重组命令行的,先完成引号里的命令行,然后将其结果替换出来,再重组成新的命令行。 exp 1 阅读全文
posted @ 2019-02-11 11:19 大海在倾听 阅读(1813) 评论(0) 推荐(0) 编辑
摘要: 在Verilog中,设计组合逻辑和时序逻辑时,都要用到always: 仅从关键字上,看不出设计者想要一个什么样的电路。 SystemVerilog把always关键字细化了。对不同的设计要求有不同的关键字: comb是combinational的缩写,always_comb表示设计者想要设计一个组合 阅读全文
posted @ 2019-02-02 16:21 大海在倾听 阅读(1606) 评论(0) 推荐(0) 编辑
摘要: 参考博文:https://blog.csdn.net/u014703817/article/details/38844613 gvim文件目录跳转和缓冲-快捷方式 gvim编辑指令: :history 列出历史编辑命令(只是显示通过":"符号引用方式的命令) :ls 显示当前窗口所开启的所有buff 阅读全文
posted @ 2019-01-29 09:46 大海在倾听 阅读(2802) 评论(0) 推荐(0) 编辑
摘要: 参考博文:http://blog.sina.com.cn/s/blog_4c270c730101f6mw.html 断言assertion被放在verilog设计中,方便在仿真时查看异常情况。当异常出现时,断言会报警。一般在数字电路设计中都要加入断言,断言占整个设计的比例应不少于30%。以下是断言的 阅读全文
posted @ 2019-01-25 19:48 大海在倾听 阅读(20718) 评论(0) 推荐(1) 编辑
摘要: 参考博文:https://www.cnblogs.com/-9-8/p/5668089.html等。 PTPX功耗分析流程 PrimeTime PX工具是PrimeTime工具内的一个feature。 PTPX的功耗分析,可以报告出chip,block,cell的各个level的功耗。 使用PTPX 阅读全文
posted @ 2018-12-24 19:49 大海在倾听 阅读(14827) 评论(1) 推荐(0) 编辑
摘要: APB(Advance Peripheral Bus)是AMBA总线的一部分,从1998年第一版至今共有3个版本。 AMBA 2 APB Specfication:定义最基本的信号interface, 读写transfer, APB bridge, APB slave. AMBA 3 APB:增加定 阅读全文
posted @ 2018-12-18 15:18 大海在倾听 阅读(7193) 评论(0) 推荐(0) 编辑
摘要: AXI是高级扩展接口,在AMBA3.0中提出,AMBA4.0将其修改升级为AXI4.0。AMBA4.0 包括AXI4.0、AXI4.0-lite、ACE4.0、AXI4.0-stream AXI4.0-lite是AXI的简化版本,ACE4.0 是AXI缓存一致性扩展接口,AXI4.0-stream是 阅读全文
posted @ 2018-12-18 14:59 大海在倾听 阅读(22785) 评论(1) 推荐(0) 编辑