Modelsim功能仿真

首先在确保modelsim se安装破解后,要在modelsim se 中添加altera仿真库,添加办法如pdf文档所示。

 

一、功能仿真:

1、在电脑上新建好工作目录:altera_work \ 工程名\ modelsim \ func,并在func文件下,再新建一个source文件,存放源文件。

2、新建库:
file
——new——library

3、新建工程:

   file——new——project

4、添加输入文件到工程中:

   Add Existing File,添加输入文件

  进行功能仿真需要的输入文件:

􀁺 a HDL 文件;

􀁺 bTestBench 文件;

􀁺 c仿真原型文件(在这时就添加进,连同前2个一起添加)

或预编译的库文件(仿真时指定,compile后指定路径);

**注:c中的添加仿真原型文件,需找到Quartusii安装目录下,然后Add Existing File——位置:altera——72——quartus——eda——sim_lib,,找到220model.v

 

5、编译文件:

  project下,选中一个文件,点菜单compile——compile all

 

6、启动仿真器

a) SimulateÆStart Simulation

b) 展开Work 库,指定Testbench,点OK 开始仿真;如指定test四名,然后()enable optimization前的勾去掉

 

***注:由于上面已经添加了220model.v所以在work库下面会有很多文件,这就不需要在libraries下,添加预编译的库文件了。若要指定,则需要在quartus下全编译,然后进入modelsim下就可以找到verilog_libs \ cycloneii_ver

 

7、查看和调试结果

a) Wave 窗口打开,查看仿真波形:

b)将需要查看的信号添加到波形窗口

   add——add to wave

c)运行:  run  1  ms

 

一般现在modelsim中进行功能仿真,,然后到Quartus中进行时序的仿真。但也可以在modelsim中时序仿真,,步骤详见pdf文档

其他2个综合后仿真,,与布局布线后时序仿真步骤详见pdf文档

posted @ 2009-09-30 14:34  gmh  阅读(701)  评论(0编辑  收藏  举报