摘要: verilog RTL code example 以下是学习verilog语法的例子 module divider(// synchronous logic block input clk_in, output clk_out, input rst_n, // combinational logic 阅读全文
posted @ 2020-11-18 23:23 乔治是只猪 阅读(1364) 评论(0) 推荐(0) 编辑
摘要: Syntax Verilog Modules Modules are the building blocks of verilog designs. They are a means of abstraction and encapsulation for your design A module 阅读全文
posted @ 2020-11-18 23:10 乔治是只猪 阅读(675) 评论(0) 推荐(0) 编辑