摘要: config_db机制 概述 UVM提供了uvm_config_db配置类以及几种方便的变量设置方法,来实现仿真时的环境控制,常见的uvm_config_db类的使用方式包括: 传递virtual interface到环境中 设置单一的变量值,int, string, enum等 传递配置对象到环境 阅读全文
posted @ 2021-03-29 17:21 徘徊的游鱼 阅读(1881) 评论(0) 推荐(1) 编辑