摘要: 1. 作用 $test$plusargs是verilog在仿真运行时调用的系统函数, 可以根据仿真选项不同, 创建不同的运行分支. 2. 举例 module tb; logic clk; initial begin #0 clk = 0; forever begin #5 clk = ~clk; e 阅读全文
posted @ 2022-01-29 11:14 编程驴子 阅读(459) 评论(0) 推荐(0) 编辑