摘要: 目录1. vcs2. ncverilog2.1 ncsim接口2.2 指定某几个inst为blackbox3. cell只dump端口信号, 不dump单元内部信号 仿真工具 1. vcs 调用方式 simv -ucli -do xxx.tcl tcl脚本内容 # pin相关操作 # 查找某个pin 阅读全文
posted @ 2021-12-01 09:54 编程驴子 阅读(1589) 评论(0) 推荐(1) 编辑