verilog中#

#是延迟的意思,井号后面数字是延迟的数量,延迟的单位由`timescale控制

比如有:`timescale 1ns/1ps 意思就是时间单位为1ns,精度是1ps(继续simulate的时候将显示1ps的时间下的仿真)

那么,#10.5 就是延迟10.5ns的意思

在同步时序数字逻辑电路的verilog代码中,不能加入“#”进行延迟,这不是代码编写阶段能决定的

posted @ 2020-02-04 10:22  friedCoder  阅读(1276)  评论(0编辑  收藏  举报