verilog中的$display和$wirte

格式

   $display(p1,p2, …,pn);

   $write(p1,p2, …,pn);

这两个函数和系统任务的作用都是用来输出信息,即将参数p2到pn按参数p1给定的格式输出。参数p1通常称为:“格式控制”,参数p2至pn通常称为“输出列表”。

$display自动地在输出后进行换行,$write则不是这样。如果想在一行里输出多个信息,可以使用$write。

还有一个类似monitor

格式说明

(1)、 %h或%H 以十六进制的形式输出

(2)、 %d或%D 以十进制的形式输出

(3)、 %o或%O 以八进制的形式输出

(4)、 %b或%B 以二进制的形式输出

(5)、 %c或%C 以ASCII码字符的形式输出

(6)、 %v或%V 输出网络型数据信号强度

(7)、 %m或%M 输出等级层次的名字

(8)、 %s或%S 以字符串的形式输出

(9)、 %t或%T 以当前的时间格式的形式输出

(10)、 %e或%E 以指数的形式输出实型数

(11)、%f或%F 以十进制的形式输出实型数

(12)、%g或%G 以指数或者十进制的形式输出实型数,无论何种格式都以较短的结果输出。

(13)、%x 十六进制

(14)、%i 读入十进制,八进制,十六进制,在编译时通过数据前置来区分

如:%i0x31 表示为十六进制 %i031 表示为八进制 %i31 表示为十进制

(15)、%u 无符号十进制数

普通字符,即需要原样输出的字符。其中包括一些转义字符,下面的字符形式用于格式字符串参数中,用来显示特殊的字符。

   (1)、 \n    换行

   (2)、 \t  相当于按一个Tab键

   (3)、 \\  反斜杠字符\

   (4)、 \”   双引号字符”

   (5)、 \0  八进制数代表的字符

   (6)、 \0x  十六进制数代表的字符

   (7)、 %%  百分符号%

注意

如果表达式的所有位均为不定值,则输出结果为小写的x

如果表达式的所有位均为高阻值,则输出结果为小写的z

如果表达式的部分位为不定值,则输出结果为大写的X

如果表达式的部分位为高阻值,则输出结果为大写的Z

posted @ 2020-02-03 22:28  friedCoder  阅读(1480)  评论(0编辑  收藏  举报