关于set_input/output_delay中的-clock_fall和-add_delay选项

-clock_fall :指明外部寄存器用该时钟的下降沿采样数据;常用于DDR接口

-add_delay:指明还有另一个外部寄存器与该端口相连;常用于DDR接口

LX5OO2XMYUS7H70)L$SLERJ

posted on 2012-09-20 12:09  freshair_cn  阅读(1961)  评论(0编辑  收藏  举报

导航