关于derive_pll_clock

用于约束PLL所有输出时钟

Each time the TimeQuest analyzer reads your .sdc, the appropriate create_generated_clocks command is generated for the PLL output clock pin.

另外值得注意的是:

image

posted on 2012-07-04 14:58  freshair_cn  阅读(1046)  评论(0编辑  收藏  举报

导航