Modelsim存波形文件

$dumpfile:存波形文件;

$dumpvars:指示开始存所有信号的波形

eg: initial begin

        $dumpfile(“counter.vcd”);

        $dumpvars;

      end

posted on 2012-01-10 12:14  freshair_cn  阅读(647)  评论(0编辑  收藏  举报

导航