systemverilog/verilog tag 使用

本文是基于svtag(tags)的常规使用方法介绍, 如果还没建立tags 可以参考:
sytemverilog tag: https://www.cnblogs.com/freeic/p/7144248.html

verilog tag:  https://www.cnblogs.com/freeic/p/7130396.html

使用tag跳转功能:

1) 在gvim 打开tag后使用 ":tag xxx"  

    联想功能:  :tag /phase         :tag phase    按tab键联想..

2)CTRL-]命令跳转到指定function或类或变量,CTRL-T回溯跳转

 

设定全局tags:

 

:set tags=./tags,./../tags,./*/tags

:set tags=~/proj/**/tags(深度搜索proj下所有文件下的tags)

这样就可以在任何地方通过gvim去找到这些tags..

posted @ 2022-06-25 22:57  freeic  阅读(134)  评论(0编辑  收藏  举报