关于XGMII/XLGMII/CGMII

MII即媒体独立接口,也叫介质无关接口。它是IEEE-802.3定义的以太网行业标准。它包括一个数据接口,以及一个MAC和PHY之间的管理接口(图1)。数据接口包括分别用于发送器和接收器的两条独立信道。每条信道都有自己的数据、时钟和控制信号。MII数据接口总共需16个信号。管理接口是个双信号接口:一个是时钟信号,另一个是数据信号。通过管理接口,上层能监视和控制PHY。
在以太网标准中,MAC层与PHY层之间的10Gbps/40Gbps/100Gbps速率等级所对应的接口分别为XGMII/XLGMII/CGMII。
XGMII接口概述: 
TXD[31:0]:数据发送通道,32位并行数据。 
RXD[31:0]:数据接收通道,32位并行数据。
TXC[3:0] :发送通道控制信号, TXC=0 时,表示 TXD 上传输的是数据; TXC=1 时,表示 TXD上传输的是控制字符。 TXC[3:0] 分别对应 TXD[31:24], TXD[23:16], TXD[15:8],TXD[7:0] 。
RXC[3:0] :接收通道控制信号, RXC=0 时,表示 RXD 上传输的是数据; RXC=1 时,表示 RXD上传输的是控制字符。 RXC[3:0] 分别对应 RXD[31:24], RXD[23:16], RXD[15:8],RXD[7:0] 。
TX_CLK :TXD 和 TXC 的参考时钟,时钟频率 156.25MHz,在时钟信号的上升沿和下降沿都采样数据。 156.25MHz * 2 * 32 = 10Gbps 。
RX_CLK :RXD 和 RXC 的参考时钟,时钟频率 156.25MHz,在时钟信号的上升沿和下降沿都采样数据。

posted @ 2019-07-08 13:39  明黄  阅读(5548)  评论(0编辑  收藏  举报