2015年11月21日

(1)异步复位信号的同步化

摘要: 此部分其实很简单,应用了上述边沿检测的部分思维,用最高时钟打慢几拍,便实现了与最高时钟的同步。此处不再用Block来累赘的描述,verilog设计代码如下所示: /***************************************************** * Module N... 阅读全文

posted @ 2015-11-21 12:06 砖头文 阅读(1402) 评论(0) 推荐(1) 编辑

导航