点点滴滴

铭记,当遇到inout双向数据的时候,要把它分成2部分,

assign data = oe ? data_in : 2'bzz;

assign data_out= data;

这样即简化了问题,也不容易出错

posted on 2011-10-19 22:54  砖头文  阅读(123)  评论(0编辑  收藏  举报

导航