verilog 局部变量

verilog中使用局部变量要对相应的begin-end语句块起块名,如下

initial
begin:block1
  integer i;
end

initial
begin:block2
  integer i;
end

 

posted on 2013-06-13 15:56  逆转骑士  阅读(639)  评论(0编辑  收藏  举报

导航