摘要: RTC实时时钟显示 RTC实时时钟显示 阅读全文
posted @ 2024-01-10 18:51 carpe--diem 阅读(15) 评论(0) 推荐(0) 编辑
摘要: FPGA驱动RGB888屏幕——基于正点原子达芬奇FPGA开发板 RGB888简介 重要提示:由于我没有RGB888屏幕,所以代码未经验证,但是我将其在HDMI中使用了,证明代码还是有一定的正确性 一个像素点由三种颜色控制,每个颜色8bit,共24bit,三个字节,这就是RGB888。同样的还有RGB565等。 LCD屏幕介绍 1、HSYNC(水平同步信号、行同步 阅读全文
posted @ 2023-12-11 11:57 carpe--diem 阅读(122) 评论(0) 推荐(0) 编辑
摘要: HDMI实现方块移动 使用FPGA实现方块移动 阅读全文
posted @ 2023-12-04 19:45 carpe--diem 阅读(11) 评论(0) 推荐(0) 编辑
摘要: RGB转YCbCr——基于《基于MATLAB与FPGA的图像处理教程》 YCbCr介绍 YCbCr由Y、Cb、Cr组成。为一种数字信号 1、Y:表示颜色的明亮度和浓度,也可叫灰度阶。(通过RGB转换YCBCR提取Y分量也可以得到灰度图像) 2、Cb:表示颜色的蓝色浓度偏移量即RGB输入信号蓝色部分与RGB信号亮度值之间的差异。 3、Cr:表示颜色的红色浓度偏移量即RGB 阅读全文
posted @ 2023-12-01 16:56 carpe--diem 阅读(114) 评论(0) 推荐(0) 编辑
摘要: HDMI——FPGA 简介 VGA 接口体积较大,不利于便携设备的集成;且传输的模拟信号易受外界干扰,产生信号畸变。为了解决 VGA 接口的诸多问题,视频接口开始了一次革新。 VGA 接口之后,首先推出的的是 DVI 接口, DVI 是基于 TMDS(Transition Minimized Differential S 阅读全文
posted @ 2023-11-28 20:32 carpe--diem 阅读(83) 评论(0) 推荐(0) 编辑