本博客为本人学生时代的技术博客,工作后10年已经不再更新,需要关注可以访问geekpm.com,点击访问!
摘要: 1 library ieee; 2 3 use ieee.std_logic_1164.all; 4 5 use ieee.std_logic_arith.all; 6 7 use ieee.std_logic_unsigned.all; 8 9 10 11 entity KBCtest is 12 13 port( 14 15 rst,clk:instd_logic;--时钟和复位信号 16 17 --AVR 读写相关信号线 18 19 ale,rd,wr:instd_logic;--地址锁存、读、写信号 20 21 ad:inoutstd_log... 阅读全文
posted @ 2011-03-23 19:58 emouse 阅读(758) 评论(0) 推荐(0) 编辑
摘要: 一个AVR串口通信程序竟然花了五个小时,从GCC 换成CVAVR一直没有调通,搞的非常郁闷,最后发现因为用的是ISP的下载方式的问题,而ATmega128的ISP下载接口用到串口0,因此当ISP下载线插在上面时就无法接收程序,但是程序发送正常。另外我的串口是使用隔离芯片的,连上后ISP无法下载,中间串两个10K电阻问题解决。 阅读全文
posted @ 2011-03-23 17:02 emouse 阅读(663) 评论(0) 推荐(0) 编辑