随笔分类 - FPGA/CPLD
FPGA、CPLD等方面学习
摘要:针对性整理下FPGA选型问题 一、获取芯片资料: 要做芯片的选型,首先就是要对有可能要面对的芯片有整体的了解,也就是说要尽可能多的先获取芯片的资料。现在FPGA主要有4个生产厂家,ALTERA,XILINX,LATTICE和ACTEL。获取资料最便捷的途径就是这些生产厂家的官方网站(http://www.altera.com.cn/,http://china.xilinx.com/,http:...
阅读全文
摘要:学习OpenCV初期入门最大的问题是资料太杂,不同版本之间还有很大差异,很多书籍、资料都是较老的OpenCV 1.0的版本,模块划分数据结构在使用上均有很多不同,而在网络上的资料中,虽然资料很多,杂七杂八看起来也很乱,经过一段时间段的积累,找到了几个做这块的博客,几个博主均是系统性的学习,这点和我拿来偶尔用的还是有很大区别,看了他们的博客收货很大,这里整理分享。 博客 博客一:tornad...
阅读全文
摘要:本篇文章为转载,写的不错,最近在学modelsim仿真,网上的教程很乱,把自己认为不错的整理贴出来,后面有机会会写个详细点的。 Quartus 中调用modelsim的流程 1. 设定仿真工具 assignmentèsettingèEDA tool settingèsimulation 选择你需要的工具。 2. 自动产生测试激励文件模板: processingèstartèS...
阅读全文
摘要:学HDL避免不了的要用到modelsim,遗憾的是至今也没怎么用,昨天下载了modelsim装上了,鼓捣破解鼓捣了一阵子。 我是一个软件控,除非是对就器件不支持或者有明显bug的一般都会尝试用最新版,觉得既然搞电子做嵌入式就得更得上潮流,能适应得了新环境。所以很多软件,哪怕是用的再顺的除了变化较大的新版也会毫不犹豫去尝试。好了,闲话不多说,看一下modelsim,modelsim从6.6直接跳到了10.0,这个版本号更新的我有点郁闷,下载地址我就不多说了,同学们自己去官网下载,这个最权威,填点资料就OK了,完了之后会给你一个ftp地址,其实也就是临时给你分配一个ftp的用户名和密码,这个地址.
阅读全文
摘要:emouse原创文章,转载请注明出处,http://www.cnblogs.com/emouse 手头有个Spartan-3E Starter Kit的板子,三年了,一直没功夫搞,昨天实验室停电回到宿舍,只有这个板子在身边,其他板子都在实验室,所以决定用一下,之前用的都是Altera的FPGA和CPLD,虽然在FPGA以及CPLD这块Xilinx和Altera势均力敌,但是学校教的是Altera...
阅读全文
摘要:--2011.7.7凌晨版本--加入闭环控制--原有程序大幅改写,去掉了部分编译警告library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity XTKZQ isport( rst,clk : in std_logic; --时钟和复位信号,复位信号由AVR初始化手动给出 --avr 读写相关信号线 ale,rd,wr : in std_logic; ...
阅读全文
摘要:项目中需要使用STM32和FPGA通信,使用的是地址线和数据线,在FPGA中根据STM32的读写模式A的时序完成写入和读取。之前的PCB设计中只使用了8跟数据线和8根地址线,调试过程中没有发现什么问题,在现在的PCB中使用了8根地址线和16根数据线,数据宽度也改成了16位,刚开始是读取数据不正确,后来发现了问题,STM32在16位数据宽度下有个内外地址映射的问题,只需要把FPGA中的设定的地址乘以2在STM32中访问就可以了,但是在写操作的时候会出现写当前地址的时候把后面的地址写成0的情况,比如说我给FPGA中定义的偏移地址0x01写一个16位数据,按照地址映射,在STM32中我把地址写入0x
阅读全文
摘要:这个程序的寄存器读取时和STM32通讯的,之前有一个是和AVR通讯的,这个程序已经调试通过,原理比较简单,相信认真看的都能够明白。因为ADS8364为差分AD,所以其输出为补码形式,按照2.5V的参考电压源输出的数据范围为-32768~+32768,如果AIN- 连到VREF(2.5V),那么当AIN+ 输入为0时 输出的数据为0x8000,如果AIN+ 输入为2.5V则输出数据为0x0000,AIN+ 输入为5V时输出数据位0x7F。程序如下: 1 --最后修改2011.3.26 2 3 --最后测试功能: 4 5 --利用第一块板子测试ADS8364 6 7 libra...
阅读全文
摘要:1 2 3 --本程序用来测试STM32对CPLD的读写操作 4 5 --测试功能如下: 6 7 --向0x05地址写入0x01,LED灯停止闪烁,写入其他数据闪烁继续 8 9 --0x03,0x04寄存器为定值,可以通过STM32读取然后使用串口输出看看是否一致 10 11 12 13 --文件名:AD.vhd 14 15 library ieee; 16 17 use ieee.std_logic_1164.all; 18 19 use ieee.std_logic_unsigned.all; 20 21 22 23 entity AD...
阅读全文
摘要:网上很多人都出现了这个问题,找了很多也没找到答案,我中间用的是正常的,后面就出现了这个问题。attemptedtoaccessjtagserverinternalerrorcode82 已经尝试的解决方法: 1、已经关闭了windows防火墙,卡巴斯基金山卫士等可能对网络造成影响的软件。 2、已经重新安装并且尝试了5.17.29.1三个版本 3、在命令行中启动jtagserver 4、虚拟机中安装正常,就是现在的系统中添加硬件时会出现这个错误。5、BIOS中更改并口设置为ECP+EPP/6、把卡巴斯基中所有与quartus相关的加为信任并关掉防火墙,测试还是这个错误。问题还没有解决,看样子是我
阅读全文