2020年9月8日

摘要: FPGA中的时钟域问题 一、时钟域的定义 所谓时钟域,就是同一个时钟驱动的区域。这里的驱动,是指时钟刷新D触发器的事件,体现在verilog中就是always的边沿触发信号。单一时钟域是FPGA的基本组成部分,但是随着设计规模扩大,多时钟域的设计是必要的。维持庞大的单时钟域对时钟源的要求是极为苛刻的 阅读全文

posted @ 2020-09-08 10:49 绿叶落秋风 阅读(1497) 评论(0) 推荐(0) 编辑

摘要: quartus中的时序约束常用方法 一、约束操作 quartus中有三种时序约束方法: 1️⃣Timing Setting 2️⃣Wizards/Timing Wizard 3️⃣Assignment/Assignment Editor 一般来说,前面两种是全局约束,后面一种是个别约束。 先全局,后 阅读全文

posted @ 2020-09-08 10:32 绿叶落秋风 阅读(5876) 评论(0) 推荐(0) 编辑