2020年8月5日

摘要: quartus之LPM_COMPARE测试 1、IP描述 比较器的IP,可以比较两路数据是否相等。相等输出为1,不等输出为0的aeb信号是需要测试的量。 2、基础测试 module compare_top( input [7:0] x1, input [7:0] x2, output result 阅读全文

posted @ 2020-08-05 00:04 绿叶落秋风 阅读(963) 评论(0) 推荐(0) 编辑