2020年7月30日

摘要: quartus之rom的IP测试 1、rom的作用 rom,就是只读存储器,内部数据在下载电路时就已经确认,不能使用信号驱动更改,只能够读取,一般用于比较重要的配置数据。在quartus中,可以直接调用IP核实现该操作。配置文件有hex和mif两种,都可以实现数据的写入。 2、测试方法 测试代码: 阅读全文

posted @ 2020-07-30 22:03 绿叶落秋风 阅读(752) 评论(0) 推荐(0) 编辑

摘要: verilog之预编译 1、基本作用 预编译,就是在verilog进入编译器前的准备工作。一般是完成一些文件的调用,一些编译器的设置,一些参数的定义。一般使用include,define,timescale就可以实现。比较灵活的使用是ifdef和endif的插入,可以将预编译的功能拓展。 2、使用事 阅读全文

posted @ 2020-07-30 20:34 绿叶落秋风 阅读(941) 评论(0) 推荐(0) 编辑