2020年7月24日

摘要: verilog之display 1、函数简介 $display是用于显示不同格式的变量的函数,用于测试过程中观察数据数据的特点。该观测不如波形图直观,但是如果可以详细的设置好观测点,有时可以达到事半功倍的效果。 2、实际测试 module display; /* 数据类型简写 %h或%H 以十六进制 阅读全文

posted @ 2020-07-24 23:31 绿叶落秋风 阅读(7769) 评论(0) 推荐(0) 编辑