2020年7月22日

摘要: verilog之四位全加器 1、简易代码 module adder_4bit ( cout,sum,ina,inb,cin ); output[3:0] sum; output cout; input[3:0] ina,inb; input cin; assign {cout,sum}=ina+in 阅读全文

posted @ 2020-07-22 21:40 绿叶落秋风 阅读(1893) 评论(0) 推荐(0) 编辑