2020年7月10日

摘要: AXI自定义IP之UART调试 1、实验原理 前面的自定义IP中已经将AXI总线的大部分接口设置都一一验证了。基本掌握了关键接受寄存器slv_reg和发送寄存器data_reg_out,可以基本实现简单的PL和PS的联合设计。但是,限于开发板的测试手段有限,只有一个按键和四个LED灯,限制了对复杂设 阅读全文

posted @ 2020-07-10 22:17 绿叶落秋风 阅读(1049) 评论(0) 推荐(0) 编辑