quartus之LPM_MULT测试
1、基本作用
一个专用的乘法器,可以调用DSP单元的IP,可以提高设计中的运算效率。
2、实际操作
`timescale 1ns/1ns module mult_tb; reg [7:0] a; reg [7:0] b; wire [15:0] r; myip_mult U1_tb( .dataa(a), .datab(b), .result(r) ); initial begin a=0; b=0; $monitor($time,,"a=%d,b=%d,r=%d",a,b,r); #1 a=1; b=2; #2 a=100; b=200; #3 $stop; end endmodule
run -all # 0 a= 0,b= 0,r= 0 # 1 a= 1,b= 2,r= 2 # 3 a=100,b=200,r=20000 # ** Note: $stop : D:/Library/FPGA_altera/A9_LPM_MULT/standard_module/mult_tb.v(24) # Time: 6 ns Iteration: 0 Instance: /mult_tb
3、结果分析
输出的值就是a与b的乘积,符合预期。
======== ======\\ ======= -
|| || \\ // \\ /-\
|| || || // // \\
|| || // || // \\
====== ======= || === ========
|| || || \\ // \\
|| || \\ || // \\
|| || \\ // // \\
|| || ======= // \\
作者:绿叶落秋风,专注FPGA技术分析和分享,转载请注明原文链接:https://www.cnblogs.com/electricdream/p/13461173.html,文中资源链接如下:
1. GITHUB开源仓库