摘要: 我们在进行图像处理IP设计验证时,如何将图像转化为激励输入DUT呢。SystemVerilog提供了DPI C接口,意味着可以进行调用C语言进行交互,那么这里就可以调用三方库丰富的C/C++语言进行原本SV不能进行的操作或者算法。网站上许多DPI C的示例 "[1]" ,但是基于OpenCV的示例少 阅读全文
posted @ 2020-04-09 12:37 dzqiu 阅读(2057) 评论(0) 推荐(0) 编辑