Lost !

-----hard working for the furture.

导航

统计

verilog 接收clk信号

`timescale 1ns/100ps

module led_key
    (
    //input
    clk,
	clk1,	
    reset_n,
    //skey,
	
    //output
    led
    );

/******************************** 参数定义 ************************************/		
//parameter U_DLY           = 1;                            //只在仿真时有效,便于观察波形.不影响综合和布线.
	
/******************************** 端口声称 ****************************************/	
//input	
input               clk;
output reg           clk1;
input               reset_n;
//input        	 	skey;                                 //输入的按键值

//output
output reg [3:0]     led;                                  //1-亮,0-灭

/******************************** 端口定义 ************************************/
//reg [3:0]           led;

/******************************** 内部信号定义 ********************************/

/******************************** 代码开始 ************************************/
/* always @(posedge clk or negedge reset_n)
begin
    if (!reset_n)
        led <= 4'b0000;       //LED缺省为灭
		
    else 
        led <= #U_DLY {~skey[3],~skey[2],~skey[1],~skey[0]};
end */

always @(posedge clk or negedge reset_n)
begin
    if (!reset_n)
       led <=4'b0000;
    else 
       led <=4'b1111;
	   clk1<=clk;
end

endmodule

 

clk 赋值只在时序逻辑中有效 ,示波器可以测量clk信号

 

testbench:

-------------------------------------------------------------------------

`timescale 1ns/1ns

module v_test1_tb();

reg   sys_clk;
reg   sys_rst_n;
reg   ckl2;

initial
     begin
         sys_clk = 1'b1; //初始化高电平
         sys_rst_n <= 1'b0; //初始化低电平
         #20
         sys_rst_n <= 1'b1;
     end

always #10 sys_clk = ~sys_clk;  //10ns 翻转一次clk

v_test1 tb(
.clk(sys_clk),
.rst(sys_rst_n),
.clk1(clk2)
);

endmodule 

 

posted on   失落''80  阅读(15)  评论(0编辑  收藏  举报

编辑推荐:
· go语言实现终端里的倒计时
· 如何编写易于单元测试的代码
· 10年+ .NET Coder 心语,封装的思维:从隐藏、稳定开始理解其本质意义
· .NET Core 中如何实现缓存的预热?
· 从 HTTP 原因短语缺失研究 HTTP/2 和 HTTP/3 的设计差异
阅读排行:
· 周边上新:园子的第一款马克杯温暖上架
· Open-Sora 2.0 重磅开源!
· 分享 3 个 .NET 开源的文件压缩处理库,助力快速实现文件压缩解压功能!
· Ollama——大语言模型本地部署的极速利器
· DeepSeek如何颠覆传统软件测试?测试工程师会被淘汰吗?
点击右上角即可分享
微信分享提示