get_clocks

Scripting

get_clocks


The following table displays information for the get_clocks Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc 1.5

Syntax

get_clocks [-h | -help] [-long_help] [-nocase] [-nowarn] [<filter>]

Arguments

-h | -help

Short help

-long_help

Long help with examples and possible return values

-nocase

Specifies the matching of node names to be case-insensitive

-nowarn

Do not issue warnings messages about unmatched patterns

<filter>

Valid destinations (string patterns are matched using Tcl string matching)

Description

Returns a collection of clocks in the design. When used as an argument
to another command, such as the -from or -to options of
set_multicycle_path, each node in the clock represents all nodes
driven by the clocks in the collection.

# The following multicycle constraint applies to all paths ending at registers
# driven by clk
set_multicycle_path -to [get_clocks clk] 2

The filter for the collection is a Tcl list of wildcards, and must
follow standard Tcl or TimeQuest-extension substitution rules.  See
the help for use_timequest_style_escaping for details.

Example Usage

project_open chiptrip
create_timing_netlist
read_sdc
update_timing_netlist
set clocks [get_clocks c* -nocase]
foreach_in_collection clk $clocks {
    set name [get_clock_info -name $clk]
    set period [get_clock_info -period $clk]
    puts "$name: $period"
}
delete_timing_netlist
project_close

Return Value

Code Name

Code

String Return

TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.

 


posted @ 2014-07-27 22:27  星雨夜澈  阅读(613)  评论(0编辑  收藏  举报