systemc 初学笔记(1)

使用教材 《systemc入门》《systemc片上系统设计》

三、SystemC 数据类型

  1. sc_uint sc_int  SystemC中引入了sc_int和sc_uint来实现1到64比特中任意宽度的整数数据类型,又引入了sc_bigint和sc_biguint来实现任意宽度的整数类型

二、make: `main.run.x' is up to date.


 

    make clean 

    make

一、systemC 2.2 被舍弃的特性


 

  在systemC新版本中(2.2,现在已经到2.3.0)有一些特性应经不用或者有所改变,而一般的教材由于编写年代的问题一般是基于systemC 2.0。所以在执行书中或者PPT中的例子时常会出许多警告。比如

  error: no matching function for call to ‘sc_start(int)’  解决方法如下:

1 sc_start(-1);
2 which is now deprecated, you should write 
3 sc_start();

  error: ‘class sc_core::vcd_trace_file’ has no member named ‘sc_set_vcd_time_unit’

  Still supported in 2.2. The class vcd_trace_file is now considered to be an implementation detail rather than part of the standard, so its public methods have been deprecated. You should use method set_time_unit() of class sc_trace_file instead. For example:

1 sc_trace_file* tf;
2 tf = sc_create_vcd_trace_file("foo");
3 tf->set_time_unit(1, SC_NS);
4 // ((vcd_trace_file*)tf)->sc_set_vcd_time_unit(-9); // Deprecated

  仍有许多这样的情况,在此没有一一列举出,可以参考Dealing with Deprecated Features in SystemC 2.2 http://www.doulos.com/knowhow/systemc/deprecated/

  

posted @ 2013-11-30 19:34  dtbks  阅读(1107)  评论(0编辑  收藏  举报