DreamCll

博客园 首页 联系 订阅 管理

  uvm环境工作流程主要包含以下几个步骤:

  • module test_top
module test 模板
 module test_top;
    ...
    initial begin
        run_test();
    end
endmodule

  uvm 环境是在 module 中通过调用 run_test()<UVM 全局 task>,创建 uvm_root

  • uvm testbench 启动-根据 UVM_TESTNAME 创建 test case

  • 执行 test 和 它们各自的 components( env,agent,scoreboard... ),以及各个 phase( build_phase,connect_phase,... ,run_phase )

  • 在所有 phase 运行结束后,结束仿真

posted on 2019-11-21 19:34  DreamCll  阅读(1558)  评论(0编辑  收藏  举报