上一页 1 ··· 3 4 5 6 7 8 9 10 11 ··· 20 下一页

2016年6月29日

带隙基准(Bandgap,BG)

摘要: Bandgap voltage reference,常常有人简单地称它为Bandgap。最经典的带隙基准是利用一个与温度成正比的电压与一个与温度成反比的电压之和,二者温度系数相互抵消,实现与温度无关的电压基准,约为1.25V。bandgap可为OSC 提供基准电压。 参考文献: [1] bandgap的设计. http://www.eetop.cn/blog/html/90/757190-24... 阅读全文

posted @ 2016-06-29 21:52 dpc525 阅读(4207) 评论(0) 推荐(0) 编辑

2016年6月28日

SOC芯片的FPGA原型验证

摘要: FPGA验证在SOC设计非常重要,一般而言,做一些RAM和FIFO的替换以及相应代码转换。具体分下面几步: 1 替换RAM,FIFO和时钟 RAM和FIFO控制器需要RAM的接口都放在了设计顶层,方便RAM做BIST。采用generate 做RAM的例化,提供代码的可读性。 2适当做一些外围接口 3 用synplify综合 对于RAM 采用stub综合,编写相应的综合约束。 4 用vivado布局... 阅读全文

posted @ 2016-06-28 20:05 dpc525 阅读(4612) 评论(0) 推荐(0) 编辑

2016年6月16日

Perl语言

摘要: Perl是高级、通用、直译式、动态的程序语言家族。最初设计者拉里·沃尔(Larry Wall)为了让在UNIX上进行报表处理的工作变得更方便,决定开发一个通用的脚本语言,而在1987年12月18日发表。目前,Perl语言家族包含两个分支Perl 5以及Perl 6(开发中)。 Perl借用了C、sed、awk、shell脚本以及很多其他编程语言的特性。其中最重要的特性是Perl内部集成了正则表达式... 阅读全文

posted @ 2016-06-16 05:21 dpc525 阅读(611) 评论(0) 推荐(0) 编辑

2016年5月29日

一个功能覆盖率的简单例子

摘要: 功能覆盖率的类型 在验证计划中编写test case时,需要编写功能覆盖率计划。一般而言,在验证环境中有4个地方可以编写coverage points. F1 : Functional coverage points are very near the randomization F2 : Func 阅读全文

posted @ 2016-05-29 09:47 dpc525 阅读(1609) 评论(0) 推荐(1) 编辑

2016年5月15日

UART UVM验证平台平台搭建总结

摘要: tb_top是整个UVM验证平台的最顶层;tb_top中例化dut,提供时钟和复位信号,定义接口以及设置driver和monitor的virual interface,在intial中调用run_test() UVM入口函数。在基于uvm_test扩展出base_test,根据测试用例再基于base_test扩展出各种各样的test.在扩展后的test中call sequenc... 阅读全文

posted @ 2016-05-15 17:57 dpc525 阅读(7005) 评论(0) 推荐(0) 编辑

2016年5月10日

UART IP和UVM的验证平台

摘要: UART是工程师在开发调试时最常用的工具的,其通信协议简单。opencores 网站提供了兼容16550a的UART IP其基本特性如下: uart16550 is a 16550 compatible (mostly) UART core. The bus interface is WISHBONE SoC bus Rev. B. Features all the standard option... 阅读全文

posted @ 2016-05-10 22:07 dpc525 阅读(1391) 评论(0) 推荐(0) 编辑

2016年5月6日

UVM的factory机制

摘要: 在UVM中使用工厂模式基本上分为三个步骤: 1. 注册 当定义一个类的时候,它的类型必须要注册,UVM已经提供了专用的宏。 `uvm_component_utils(class_type_name) `uvm_component_param_utils(class_type_name #(params)) `uvm_object_utils(class_type_name) `uvm_object... 阅读全文

posted @ 2016-05-06 06:25 dpc525 阅读(2864) 评论(0) 推荐(0) 编辑

2016年4月24日

SystemVerilog的历史

摘要: 随着软件的功能需求越来越复杂,C语言不足以解决现有的问题,于是C++被发明了;C++的指针漫天飞,对内存的处理过于复杂,于是Java被发明了;芯片的功能不断地扩大,Verilog不足以应对日益复杂的芯片设计和验证,于是SystemVerilog被发明了。 SystemVerilog结合了来自 Verilog、VHDL、C++的概念,还有验证平台语言(OpenVera和e... 阅读全文

posted @ 2016-04-24 21:43 dpc525 阅读(1341) 评论(0) 推荐(0) 编辑

2016年4月21日

(转)SpyGlass工具介绍

摘要: Spyglass工具有五大模块: lint, CDC(多时钟域检查), LP(低功耗),Constraint(约束),DFT(可测试性)。 一,在RTL层面上预估芯片性能,从而引导设计人员开发出更加优化的RTL代码 SpyGlass平台针对VERILOG和VHDL用先进的静态和动态分析来检查和诊断设计中可能存在的潜在问题,然后用其分析和追踪引擎来追踪问题的根源,最后给出一个解决问题的方法和建议。... 阅读全文

posted @ 2016-04-21 22:12 dpc525 阅读(20063) 评论(0) 推荐(0) 编辑

UVM Top Testbench

摘要: top testbench在top_tb中包含进所有的文件,将DUT放在top_tb中(例化DUT),连接好各个端口,提供clk时钟和rst_n复位信号。最主要的是要给组件中的虚接口设置接口,一般是给driver和monitor的虚接口例化接口。初始化run_test()使其自动启动UVM仿真。用c 阅读全文

posted @ 2016-04-21 20:35 dpc525 阅读(1380) 评论(0) 推荐(0) 编辑

上一页 1 ··· 3 4 5 6 7 8 9 10 11 ··· 20 下一页

导航