2016年5月15日

UART UVM验证平台平台搭建总结

摘要: tb_top是整个UVM验证平台的最顶层;tb_top中例化dut,提供时钟和复位信号,定义接口以及设置driver和monitor的virual interface,在intial中调用run_test() UVM入口函数。在基于uvm_test扩展出base_test,根据测试用例再基于base_test扩展出各种各样的test.在扩展后的test中call sequenc... 阅读全文

posted @ 2016-05-15 17:57 dpc525 阅读(7017) 评论(0) 推荐(0) 编辑

导航