FPGA内部RAM的初始化

Altera的RAM初始化文件格式是mif和hex. QuartusII自带的RAM初始化工具很方便产生初始化文件。

Xilinx的RAM初始化文件格式是coe, 在vivado中软件会将coe文件变成mif 文件。Xilinx和Altera的mif文件格式并不相同。Xilinx的mif文件才是最终有效的初始化文件。可以用Memory Editor编辑工具产生coe文件,具体位置在Tools > Memory Editor也可以在 $XILINX/coregen/data目录下发现参考文件.

京微雅格的RAM(也称为EMB)初始文件格式是dat. 格式比较简单。

第一行是声名数据位宽

//Width=16  

第二行开始,就是你声名的位宽的数据,一行写一个数据(都是16进制的数据),遇到一个换行符,认为地址加1。

991c

8600

 

参考文献:

COE File Syntax.

http://www.xilinx.com/itp/xilinx10/isehelp/cgn_r_coe_file_syntax.htm

Creating a Memory

http://www.xilinx.com/itp/xilinx10/isehelp/cgn_p_memed_single_block.htm

Creating Hexadecimal (Intel-Format) and Memory Initialization Files

http://quartushelp.altera.com/14.0/mergedProjects/design/med/med_pro_med_files.htm

posted on 2015-07-07 06:38  dpc525  阅读(5603)  评论(0编辑  收藏  举报

导航