FPGA ila在线调试

fpga仿真不是实际情况,但是在下载的情况下不能直接只管的从仿真软件中看出数据,这种时候需要用到Vivado有内嵌的逻辑分析仪,叫做ILA 。用这个IP核来进行在线调试

1.添加ILA IP核

1.点击IP Catalog,在搜索框中搜索ila
2.修改名称为ila(看心情),由于要采样两个信号,Probes的数量设置为2(几个信号定义几个),Sample Data Depth指的是采样深度,设置的越高,采集的信号越多,同样消耗的资源也会越多。
3.在Probe_Ports页面,设置Probe的宽度,位宽为自己要采用的信号的位宽。点击OK

4.剩下的默认OK 冲就完事了
5.然后需要在.v文件中实例化该IP,实例化如图,复制粘贴进去就好了,改一改信号端口
6.生成bit
7.下载程序
这时候看到有bit和ltx文件,点击program
此时弹出在线调试窗口,出现了我们添加的信号
点击运行按钮,出现信号的数据
也可以触发采集,在Trigger Setup窗口点击“+”,深度选择timer_cnt信号
将Radix改为U,也就是十进制,在Value中设置为49999999,也就是timer_cnt计数的最大值
再次点击运行,即可以看到触发成功,此时timer_cnt显示为十六进制,而led也在此时翻转

2.在线调试之后如何将数据保存起来呢?






posted @ 2021-09-15 10:04  快乐气氛组阿宇  阅读(842)  评论(0编辑  收藏  举报