2013年10月12日

[转]产生任意Duty的PWM波形验证

摘要: 用MedicalRadiate 3M主板上的FPGA产生500Hz可调PWM;3M板FPGA_CLK由STM32提供的72MHz frequence. 2.pwm.v 2.pwm_tb.v 4.pwm_ucf.ucf 阅读全文

posted @ 2013-10-12 21:07 Djerly 阅读(606) 评论(0) 推荐(0) 编辑

导航