摘要: vim 导入文件并且打印到当前文件中的命令是 ```vim :r ``` 我们可以把模板提前放到 home 目录下,并通过这个命令导入 比如,可以把 testbench 读写文件的内容放到 ~/.vhdl/.f ```vhdl use ieee.std_logic_textio.all; use s 阅读全文
posted @ 2023-06-08 10:46 devindd 阅读(67) 评论(0) 推荐(0) 编辑
摘要: use `hread` to replace `read`. The data format in file should be like this `1F6C` (case-insensitive). 阅读全文
posted @ 2023-06-06 10:39 devindd 阅读(17) 评论(0) 推荐(0) 编辑
摘要: ### 错误提示 ![image](https://img2023.cnblogs.com/blog/2923427/202305/2923427-20230530112327707-1269834292.png) ### 第一种写法 ```vhdl use ieee.std_logic_texti 阅读全文
posted @ 2023-05-30 11:32 devindd 阅读(50) 评论(0) 推荐(0) 编辑
摘要: ## 1. 使用 sim 指令启动仿真 有一个方法是使用 tcl 的 proc 创建类似 alias 的效果。 ```tcl proc sim {} { launch_simulation -install_path \ C:/Programs/modeltech64_10.6d/win64 } ` 阅读全文
posted @ 2023-05-28 11:42 devindd 阅读(212) 评论(0) 推荐(0) 编辑
摘要: ### postfix in perl #### //i : case insensitive ```perl #!/usr/bin/perl use warnings; $_ = 'This is a wilma line'; if (/WiLMa/i) { print "match\n"; } 阅读全文
posted @ 2023-05-24 09:51 devindd 阅读(14) 评论(0) 推荐(0) 编辑
摘要: ## 1. Motivation 对于一个复杂的设计,导出子模块的输出结果不是一件容易的事,通常我们采用以下的方式: 1. 把子模块的输出接入到最外层的 testbench,并且加入数据导出的程序; 2. 在子模块设计文件中加入数据导出的程序,但是这种写法是极其不规范的。 在单次仿真开始后,如果想要 阅读全文
posted @ 2023-05-23 21:40 devindd 阅读(2315) 评论(2) 推荐(4) 编辑
摘要: ## 1. 问题的出现 当我尝试把一个std_logic_vector通过conv_integer函数转换为integer类型 ![image](https://img2023.cnblogs.com/blog/2923427/202305/2923427-20230519160007806-175 阅读全文
posted @ 2023-05-19 16:04 devindd 阅读(120) 评论(0) 推荐(0) 编辑
摘要: ## 1. search and replace word under current cursor 需求:使用全局替换而不必手动输入当前光标处的字 ![image](https://img2023.cnblogs.com/blog/2923427/202305/2923427-2023051910 阅读全文
posted @ 2023-05-19 10:22 devindd 阅读(78) 评论(0) 推荐(0) 编辑
摘要: 1. 使用通配符进行约束 需要约束在160M时钟下同步过的复位信号,他是接到后续寄存器的CLR端(异步复位引脚),属于时序例外 set_false_path -from [get_pins -hier {*inst2*rst_160*}] 这个命令获取所有包含inst2和rst_160字符的pin, 阅读全文
posted @ 2023-05-18 11:12 devindd 阅读(551) 评论(0) 推荐(0) 编辑
摘要: 1. Visual-block mode 需求:修改160为122 <C-v> jj ll A <backspace><backspace> 22 2. Visual-line mode 需求:退缩进,或者统一添加前缀 d_ V I <backspace> 注意:用visual-block也可以实现 阅读全文
posted @ 2023-05-18 11:03 devindd 阅读(46) 评论(0) 推荐(0) 编辑