VHDL: read hex from file with std.textio

use hread to replace read.

The data format in file should be like this 1F6C (case-insensitive).

posted @ 2023-06-06 10:39  devindd  阅读(17)  评论(0编辑  收藏  举报